aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1415/repro.vhdl
blob: b1ff6d94cf876f83a30c1673c70e967fb7ec0080 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
package repro_pkg is
  type wishbone_type is record
    data : bit_vector;
    stb : bit;
  end record;
end;

entity reprob is
  port (b : bit_vector);
end;

architecture behav of reprob is
begin
  assert b'length = 4;
end;

use work.repro_pkg.all;

entity repro is
end repro;

architecture behav of repro is
  signal s : wishbone_type (data(3 downto 0));
begin
  dut: entity work.reprob
    port map (b => s.data);
end behav;