aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1440/tb_last_value_bug.vhdl
blob: a8bdcf50c2e45ceb922984f4bf15059e6897bb9b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity tb_last_value_bug is
end entity;

architecture tb of tb_last_value_bug is
    signal cnt : std_logic_vector(3 downto 0) := (others=>'0');
begin
    process
    begin
        wait for 10 ns;
        cnt <= std_logic_vector(unsigned(cnt) + 1);
        report "cnt:  value = " & to_string(cnt) & "  last_value = " & to_string(cnt'last_value) ;
    end process;
end architecture;