aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1461/mwe.vhdl
blob: fd6a38c021f0ccaf049149f4e245f6856ce8660d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library mwe;

entity mwe is
end entity;

architecture a of mwe is
begin
  process
  begin
    wait;
  end process;
end;