aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1469/ent1.vhdl
blob: 15fd607abd0c76d21c86f99cb5400b5ac68fc53e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity ent1 is
end;

architecture arch of ent1 is

    procedure f(a : std_logic_vector(open)) is
    begin
      report "a(a'high)=" & std_logic'image(a(a'high));
    end procedure;

begin
  f ("0110");
end;