aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue147/simple.vhdl
blob: 2cdc4a6a954ae237fbb53281fd9f1fd411046acc (plain)
1
2
3
4
5
6
7
8
entity simple is
end;

architecture behav of simple is
begin
   assert false report "Hello" severity note;
end;