aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1473/test.vhdl
blob: 975489cb47851c345c4cd9badd69e04be27bdc89 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;

entity test is
end test;

architecture test of test is

    type values_t is array (0 to VALUES - 1) of boolean;
    signal values: values_t;

    type elem_t is (a, b, c);
    type arr_t is array (0 to VALUES - 1) of elem_t;
    signal arr: arr_t;

begin
    arr <= (others => a);
end test;