aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue148/bug_sim.vhdl
blob: 2a27adcc059a32e753cbaafc7791f5148f692e34 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity bug is
    port(data: out integer);
end entity bug;

architecture arc of bug is
begin
end architecture arc;

entity bug_sim is
end entity bug_sim;

architecture sim of bug_sim is
    signal data: natural;
begin
    u0: entity work.bug
        port map(data => data);
end architecture sim;