aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1480/file1.vhdl
blob: 89f65911480256fa1c5c3945a8702916dea85665 (plain)
1
2
3
4
5
6
7
entity e is
end entity;

architecture a of e is
begin
end architecture;