aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1490/ent.vhdl
blob: 69ff7e382b50b516e77059356d87dbe406596a0a (plain)
1
2
3
4
5
6
7
entity ent is
end ent;
use work.ent.ent; -- ***this second .ent causes the crash***
architecture foo of ent is
begin
end foo;