aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1500/test.vhdl
blob: a2baa35386341cd0123b880b34808c152bb7e5f8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test is
end test;

architecture test of test is

    subtype byte is unsigned (7 downto 0);
    type arr_t is array (0 to 1) of byte;
    signal arr: arr_t;

begin

    process is
    begin
        arr <= (B"11111111" others => B"00000000");
        --               ^^^^ no comma
        wait for 1 ns;
        report to_string(arr(0)); -- reports 00000000
        wait;
    end process;

end test;