aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1517/tb.vhdl
blob: b011a7275e29b0230e715a2d21ff1e14a40cdb83 (plain)
1
2
3
4
5
6
7
entity tb is
end;

architecture behav of tb is
begin
  assert false report "end" severity note;
end behav;