aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1623/tb.vhd
blob: bed04ddac7101d2cfcfe1865f8109d83ee7e7d17 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
entity tb is
end entity;

architecture pass of tb is
begin
  process begin
    report "Hello wrapping/exitcb [pass]!" severity note;
    wait;
  end process;
end;

architecture fail of tb is
begin
  process begin
    report "Hello wrapping/exitcb [fail]!" severity failure;
    wait;
  end process;
end;