aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1697/e1.vhdl
blob: bfa4aaaa5a801b99ec182878c932cd36710c4713 (plain)
1
2
3
4
5
6
7
8
9
10
library ieee;
use ieee.std_logic_1164.all;

entity e is
    port (i : in std_logic_vector(2 downto 0) := ('1','0'));
end entity;

architecture a of e is
begin
end architecture;