aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1704/repro1.vhdl
blob: bbd41f75ade4466447000875f6e22dba935343a2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
entity repro1 is
end;

architecture behav of repro1 is
  constant word_len : natural := 20;
  
  subtype word is bit_vector(word_len - 1 downto 0);
  function F return word is 
  begin
    return ("1010","101010101010", others => '0');
  end function;
begin
  assert f = b"1010_101010101010_0000";
end;