aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1718/ent.vhdl
blob: fab4aaa6318852c82b62a970c602791d295d1685 (plain)
1
2
3
4
5
6
7
entity ent is
end ent;

architecture arch of ent is
begin
process begin report "Hello"; wait; end process;
end;