aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue176/t2.vhdl
blob: 419094d5684026c8723c1e397ce6efac63ab495d (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

entity t2 is
end t2;

architecture behav of t2 is
  constant my_const : std_ulogic_vector := "01XWL";
  constant my_str : string := "Hello";
begin
end;