aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2/repro.vhdl
blob: e58e894bf7afb241ddb2b27fe400de66ac4ec90d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
entity repro is
    port (
        foo: in boolean
    );
end entity;

architecture foo of repro is
    signal foo_int: boolean;
begin
FUMBLE: 
    entity work.repro
        port map (
            foo => foo_int
        );
end architecture;