blob: 2b8832bd23543268696b4cc1fd01ebaa9e6e4d97 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
|
library ieee;
use ieee.std_logic_1164.all;
entity tb is
end;
architecture func of tb is
type t_slv_array is array (natural range <>) of std_logic_vector;
signal slv_array : t_slv_array(0 to 1)(9 downto 0);
signal slv : std_logic_vector(9 downto 0);
begin
p_main : process
begin
slv_array <= slv_array(1) & slv;
std.env.stop;
wait; -- to stop completely
end process p_main;
end architecture func;
|