aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue216/repro1.vhdl
blob: 173f123d1bed25b007c6dd37df120f34e3590f27 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity repro1 is
  generic (c : natural := 4);
end repro1;

architecture behav of repro1 is
  constant cmap : string (1 to 5) :=
    (1 => 'a', 2 => 'b', 3 => 'c', 4 => 'd', 5 => 'e');
begin
  process
    variable v : character;
  begin
    v := cmap (c);
    assert v = 'd' report "bad value" severity error;
    wait;
  end process;
end behav;