blob: 646cabb6681e2cc72db663d216fdfb7c2b4a3e6f (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity test is
end entity test;
architecture beh of test is
type t_msg_id is (ONE, TWO);
type t_msg_id_panel is array (t_msg_id'left to t_msg_id'right) of boolean;
type t_quietness is (NON_QUIET, QUIET);
procedure enable_log_msg(
constant msg_id : t_msg_id;
variable msg_id_panel : inout t_msg_id_panel;
constant msg : string := "";
constant scope : string := "";
quietness : t_quietness := NON_QUIET
)is begin
end procedure;
procedure enable_log_msg(
msg_id : t_msg_id;
msg : string;
quietness : t_quietness := NON_QUIET;
scope : string := ""
)is begin
end procedure;
begin
process(all)
begin
enable_log_msg(ONE, "Test test");
end process;
end architecture beh;
|