aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue238/call3.vhdl
blob: 99006447d80fbd0dff6b10ba150ba11a96b40546 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
entity call3 is
end;

use work.pkg.all;

architecture behav of call3 is
  procedure p (a : rec) is
  begin
    report natural'image (a.s'left);
    report natural'image (a.s'right);
    assert a.s'left = 1;
    assert a.s'right = 4;
  end;
begin
  process
    variable v : rec_4dyn;
  begin
    p (v);
   wait;
  end process;
end behav;