aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue238/sig1.vhdl
blob: 1a1d4c4419d93b90b456785fb143bae838f82738 (plain)
1
2
3
4
5
6
7
8
9
entity sig1 is
end;

use work.pkg.all;

architecture behav of sig1 is
  signal s : rec_4;
begin
end behav;