aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue238/test.vhdl
blob: c25046d3f8be6900cffb1ab4e779f7049eeb42f1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;

ENTITY test IS
  TYPE foo_t IS RECORD
    bar : unsigned;
  END RECORD foo_t;
END ENTITY test;

ARCHITECTURE bar OF test IS
  SIGNAL baz : foo_t(bar(1 DOWNTO 0));
BEGIN

END ARCHITECTURE bar;