aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue238/var1.vhdl
blob: b77557ca86f1121c7500f7773716743f79319f2c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity var1 is
end;

use work.pkg.all;

architecture behav of var1 is
begin
  process
   variable v : rec_4;
  begin
   wait;
  end process;
end behav;