aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2417/repro3.vhdl
blob: 9021fcf70b9b118e4c9fbc419630aa92cce7527f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
package repro3_pkg is
  type bit_vec_vec is array (natural range <>) of bit_vector;

  procedure p1(signal ack: bit; variable v: inout bit_vec_vec);
  procedure p2(signal ack: bit; variable v: inout bit_vec_vec(1 downto 0));
  procedure p3(signal ack: bit; variable v: inout bit_vec_vec(open)(1 downto 0));
end repro3_pkg;

package body repro3_pkg is
  procedure p1(signal ack: bit; variable v: inout bit_vec_vec) is
  begin
    wait until ack = '1';
    for i in v'range loop
      v(i) := not v(i);
    end loop;
  end;

  procedure p2(signal ack: bit; variable v: inout bit_vec_vec(1 downto 0)) is
  begin
    wait until ack = '1';
    for i in v'range loop
      v(i) := not v(i);
    end loop;
  end;

  procedure p3(signal ack: bit;
               variable v: inout bit_vec_vec(open)(1 downto 0)) is
  begin
    wait until ack = '1';
    for i in v'range loop
      v(i) := not v(i);
    end loop;
  end;
end;

use work.repro3_pkg.all;

entity repro3 is
end;

architecture arch of repro3 is
  signal ack1, ack2 : bit := '0';
begin
  process
    variable v : bit_vec_vec(1 downto 0)(3 downto 0);
  begin
    v (0) := x"3";
    v (1) := x"c";
    p1 (ack1, v);
    assert v(0) = x"c" and v(1) = x"3" severity failure;
    wait;
  end process;

  process
    variable v : bit_vec_vec(1 downto 0)(3 downto 0);
  begin
    v (0) := x"1";
    v (1) := x"d";
    p1 (ack1, v);
    assert v(0) = x"e" and v(1) = x"2" severity failure;
    wait;
  end process;

  process
  begin
    ack1 <= '1';
    wait for 1 ns;
    ack2 <= '1';
    wait for 1 ns;
    report "end of test";
    wait;
  end process;
end;