aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue244/test.vhdl
blob: 110da9c958f04a3301989b678176305a79555aae (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
entity test is
end entity test;

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

architecture rtl of test is

  FUNCTION test
    RETURN std_ulogic_vector IS
    SUBTYPE vector_t IS std_ulogic_vector(0 TO 3);
  BEGIN
    RETURN vector_t'(OTHERS => '0');
  END test;

begin



end architecture rtl;