aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue253/e.vhdl
blob: a261312ed5d4b7b7822873b5884286bc7ca19baa (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity e is
end entity;

architecture a of e is
  signal a : bit;
  constant b : bit := '0';
begin
  assert FALSE report bit'image(a'subtype'left) severity NOTE;
  assert FALSE report bit'image(b'subtype'left) severity NOTE;

  assert FALSE report a'subtype'image(a) severity NOTE;
  assert FALSE report b'subtype'image(b) severity NOTE;
end architecture;