aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue253/e2.vhdl
blob: c93cb37031ab5457c7c0860eccaed716b67a0279 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity e2 is
end entity;

architecture a of e2 is
  function get_left return natural is
  begin
    return 12;
  end get_left;

  constant l : natural := get_left;
  subtype t is integer range 0 to l;
  signal a : t;
begin
  assert FALSE report natural'image(a'subtype'left) severity NOTE;

  assert FALSE report a'subtype'image(a) severity NOTE;
end architecture;