aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue258/length_tb1.vhdl
blob: af2a08a8f502ba2f5ac2c0e52affddb7a34a1717 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity length_tb1 is
end length_tb1;

architecture tb of length_tb1 is
begin
  process
    variable s : string (1 to 4);
    constant c : string := "hello";
  begin

    -- Behaves like 32-bit arithmetic with modular truncation
    s := c;

    wait; -- forever
  end process;
end tb;