aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue259/testcase_ce.vhdl
blob: 67caf02caecf05adfb60429c3b088e2bd3b86e44 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
library IEEE;
use IEEE.std_logic_1164.all;


entity Testcase_CE is

port (
    CLK          : in std_logic
);
end Testcase_CE;

architecture RTL of Testcase_CE is

    signal y : std_logic; 
    signal x : std_logic;

begin

process (CLK)

begin
    if CLK'event and CLK='1' then

        x <= y when false else '0'; 

    end if;
end process;

end RTL;