aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue268/repro.vhdl
blob: 72b8f0d2eb4c4f27103e362ee0def476b0facea0 (plain)
1
2
3
4
5
6
7
8
entity repro is
end repro;

architecture behav of repro is
  signal s : bit;
begin
  s <= '0' after 2 ns, '1' after 4 ns;
end behav;