aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue273/tb.vhdl
blob: fc4d58eb8e80e77bd34c2732e78785ab638a248b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity test is
end test;

architecture tb of test is
begin
  process
    variable a  : integer range 7 downto 0;
    variable b  : a'subtype;
    variable av : bit_vector(7 downto 0);
    variable bv : av'subtype;
  begin
    report integer'image(b'subtype'left);
    report integer'image(bv'left);

    -- The following line crashes the analysis.
    report integer'image(av'subtype'left);

    wait; -- forever
  end process;
end tb;