aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue295/tb.vhdl
blob: fc66704f3304f6be46260680c4798c0a0e56a387 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library vunit_lib;
context vunit_lib.vunit_context;

entity tb_test4 is
  generic (
    runner_cfg : string);
end tb_test4;

architecture tb of tb_test4 is
begin
    test_runner : process
    begin
      test_runner_setup(runner, runner_cfg);

      assert 2.0**(-1023) > 0.0 report "This passes";
      assert 2.0**(-1024) > 0.0 report "This fails";

      test_runner_cleanup(runner);
      wait;
    end process test_runner;
end;