aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue326/bar.vhdl
blob: 3638a1426d58fb5ca30948beb5903804591227c6 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity bar is
	port(a, b: out bit);
end entity bar;
architecture gub of bar is
begin
	a <= '0', '1' after 1 ns, '0' after 2 ns, '1' after 3 ns;
	process(all)
	begin
		b <= not a;
	end process;
end architecture gub;