aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue328/t6.vhdl
blob: 599c2a4b7680e3b0c4527d5cb8685f12a111dc3a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity t6 is
   port (s : inout natural := 6);
end;

architecture behav of t6 is
begin
  process
  begin
    s <= s + 1;
    wait for 1 ns;
    assert s = 7 severity failure;
    wait;
  end process;
end behav;