aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue328/uncons1.vhdl
blob: 5fe1c6df9b5eac2f2b4e3e748f0df27906361f8e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity uncons1 is
end;

architecture behav of uncons1 is
  signal s1, s2 : bit;
begin
  b : block
     -- port (p : bit_vector := (others => '1'));
     port (p : bit_vector := "01110");
     port map (p(0) => s1, p(1) => s2);
  begin
  end block;
end;