aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue332/repro_rec.vhdl
blob: 3f04d3b9224bb9e879303f716ce898a9b8568ac2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
entity repro_rec is
end;

architecture behav of repro_rec is
  type my_rec is record
    s : natural;
    b : bit_vector;
    c : bit_vector;
  end record;

  subtype my_rec1 is my_rec (c (2 to 3));
  
  signal r : my_rec1 (b (1 to 3));
  signal a : bit_vector (0 to 1);
begin
  process
  begin
    r.s <= 1;
    r.b <= "010";
    wait for 1 ns;
    r.b <= "101";
    wait;
  end process;

  blk: block
    port (a1 : bit_vector);
    port map (a1 => a);
  begin
  end block;
  
end;