aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue332/repro_rec2.vhdl
blob: fede4eda5aee2f6c09af5f7f785e6d35cbbdde4d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
entity repro_rec is
end;

architecture behav of repro_rec is
  type my_rec is record
    s : natural;
    b : bit_vector;
  end record;

  signal r : my_rec (b (1 to 3));
  signal a : bit_vector (0 to 1);
begin
  process
  begin
    r.s <= 1;
    r.b <= "010";
    wait for 1 ns;
    r.b <= "101";
    wait;
  end process;

  blk: block
    port (r1: my_rec; a1 : bit_vector);
    port map (r1 => r, a1 => a);
  begin
  end block;
  
end;