aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue332/testsuite.sh
blob: 1dfe7cd21357981af4df1e9df8d841f4572d3050 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
#! /bin/sh

. ../../testenv.sh

export GHDL_STD_FLAGS=" -fexplicit -frelaxed-rules --mb-comments --warn-binding --ieee=synopsys --no-vital-checks --std=08"

analyze ilos_sim_pkg.vhd
analyze irqc_pif_pkg.vhd
analyze irqc_pif.vhd
analyze irqc_tb.vhd
elab tb_irqc

if ghdl_has_feature tb_irqc ghw; then
  simulate tb_irqc --wave=sim.ghw
fi

analyze repro_rec.vhdl
elab repro_rec

if ghdl_has_feature repro_rec ghw; then
  simulate repro_rec --wave=rec.ghw
fi

clean
if [ $# -eq 0 ]; then
  rm -f rec.ghw sim.ghw
fi


echo "Test successful"