aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue339/testsuite.sh
blob: 8a172d20b8f7b12af649aa594cd22f61df515194 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
#! /bin/sh

. ../../testenv.sh

export GHDL_STD_FLAGS=--std=08
analyze test_pkg.vhdl test_bench.vhdl
elab test_bench
if false && ghdl_has_feature test_bench ghw; then
  simulate test_bench --stop-time=700ns --wave=w.ghw
else
  simulate test_bench --stop-time=700ns
fi

clean
rm -f w.ghw
rm -f output.txt

echo "Test successful"