aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue370/repro.vhdl
blob: 2a69be06f8817f3f02b111d52741f7014763f2ca (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
entity repro is
end;

architecture behav of repro is
  function f return natural is
  begin
    return 5;
  end f;

  constant cst : natural := f;

  type rec1 is record
    r : bit_vector (1 to cst);
  end record;

  type rec is record
    v : bit_vector;
    r : rec1;
  end record;

  procedure assign (signal s : out rec; val : rec) is
  begin
    s <= val;
  end assign;
begin
end behav;