aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue379/e.vhdl
blob: 3369cad20872301b82e3b1026ff03bc226e7846c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
entity e is end entity;
architecture a of e is
begin
  process
    constant z :integer := 0;
    type t is array(0 to 0) of bit;
    procedure x(
      z :out bit_vector(0 to 0);
      f :out bit_vector(0 to 0)
    ) is
    begin
    end procedure;
    procedure x(
      z :out t;
      f :out bit_vector(0 to 0)
    ) is
    begin
    end procedure;
    function f(arg:t) return bit is
    begin
    end function;
    variable actual_for_f :bit;
    variable actual_for_z :t; -- bit
  begin
    x(
      f(z) => actual_for_f,
      f(z) => actual_for_z
    );
  end process;
end architecture;