aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue382/Makefile
blob: 8e2597dd16c2a856a45bf2bee6f5f67042f93138 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
ANALYSE=ghdl -a
ELABORATE=ghdl -e
VPATH=.

OBJECTS= demo.o tb_demo.o

all: tb_demo

sim:
	./tb_demo --stop-time=15sec --wave=tb_demo.ghw
gsim:
	killall gtkwave; true
	./tb_demo --stop-time=300sec --wave=tb_demo.ghw
	gtkwave tb_demo.ghw tb_demo.gtk
                        
tb_demo: $(OBJECTS)
	$(ELABORATE) $@

%.o:  %.vhd
	$(ANALYSE) $<

clean:
	$(RM) *.o tb_demo e~tb_demoe.lst *~ work-obj93.cf