aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue389/e.vhdl
blob: c4f212967f247f7b8f021372d6069b97ed302dc8 (plain)
1
2
3
4
5
6
entity e is end entity;
architecture a of e is
  type enu is (x);
begin
  assert false report integer'image(x(0)) severity note;
end architecture;