aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue395/e.vhdl
blob: bf1591d8086f92e038628e3336ebb8731c055a31 (plain)
1
2
3
4
5
6
7
8
entity e is end entity;
architecture a of e is
  function f(b:integer:=0) return string is begin return "abc"; end function;
  function f               return string is begin return "def"; end function;
  subtype r is integer range 1 to 2;
begin
  assert false report "x: " & f(r) severity note;
end architecture;