aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue406/queuet.vhdl
blob: d16ceed2cec1e79d51c1a6890b57008b666d3563 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
  use ieee.std_logic_1164.all;
  use ieee.numeric_std.all;

library libvhdl;


entity QueueT is
end entity QueueT;


architecture sim of QueueT is

  package SlvQueue is new libvhdl.QueueP
    generic map (QUEUE_TYPE => std_logic_vector(63 downto 0),
                 to_string  => to_string);

--  shared variable sv_simple_queue : SlvQueue.t_simple_queue;

begin

end architecture sim;