aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue407/test.vhdl
blob: 24f2f056dfd8d125edf8d5b9c2908537f30f622f (plain)
1
2
3
4
5
6
7
8
9
10
11
entity test is
begin
end entity;

architecture arch of test is
begin
        process(all)
        begin
                report "compilation crashes here";
        end process;
end architecture;