aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue407/test2.vhdl
blob: 2ad1c80fcc047436c05abe888f9cea0ee1dad32d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity test2 is
begin
end entity;

architecture arch of test2 is
begin
        process(all)
        begin
                loop
                        exit;
                end loop;     
        end process;
end architecture;