aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue424/subBlock.vhd
blob: 4b866abc93b88e53f3954e69f0a35e2004c0bec3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity subBlock is
    port (outPort : out std_logic;
          inPort  : in  std_logic_vector(3 downto 0)
          );
end entity subBlock;

architecture behavioral of subBlock is

begin
    outPort <= inPort(0);
  
end architecture behavioral;