aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue440/e.vhdl
blob: d09bc3954d50b71be0d5698ae108997ae6c556f4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
package p is
  generic(
    function generic_f(b:bit) return boolean
  );
end package;

entity e is end entity;
architecture a of e is
  function f(b:bit) return boolean is begin return false; end function;
  package q is new work.p generic map(f);
begin
  assert q.generic_f('0') report "msg1" severity note;
end architecture;